Preview

Информатика

Расширенный поиск

СРАВНЕНИЕ СХЕМНЫХ РЕАЛИЗАЦИЙ VHDL-МОДЕЛЕЙ, ИСПОЛЬЗУЮЩИХ ЧАСТИЧНУЮ ОПРЕДЕЛЕННОСТЬ БУЛЕВЫХ ФУНКЦИЙ

Аннотация

Предлагаются модели не полностью определенных (частичных) булевых функций и систем таких функций. Показывается, что использование моделей частичных функций позволяет получать в системе синтеза схем LeonardoSpectrum более простые логические схемы по сравнению с VHDL-моделями, базирующимися на системах полностью определенных функций.

Об авторе

П. Н. Бибило
Объединенный институт проблем информатики НАН Беларуси
Беларусь


Список литературы

1. Бибило П.Н. Синтез логических схем с использованием языка VHDL. – М.: СОЛОН-Р, 2002. – 384 с.

2. Закревский А.Д. Логический синтез каскадных схем. – М.: Наука, 1981. – 416 c.

3. Закревский А.Д., Поттосин Ю.В., Черемисинова Л.Д. Основы логического проектирования. В 2 кн. Кн. 2. Оптимизация в булевом пространстве. – Мн.: ОИПИ НАН Беларуси, 2004. – 240 с.

4. Бибило П.Н. Cистемы проектирования интегральных схем на основе языка VHDL. StateCAD, ModelSim, LeonardoSpectrum. М.: СОЛОН-Пресс, 2005. – 384 с.

5. Система «Custom Logic» автоматизированного проектирования управляющей логики заказных цифровых СБИС / П.Н. Бибило, И.В. Василькова, С.Н. Кардаш и др. // Микроэлектроника. – 2003. – Т. 32. – № 5. – С. 379–398.

6. Торопов Н.Р. Минимизация систем булевых функций в классе ДНФ // Логическое проектирование. – Мн.: Ин-т техн. кибернетики НАН Беларуси, 1999. – Вып. 4. – С. 4–19.


Рецензия

Для цитирования:


Бибило П.Н. СРАВНЕНИЕ СХЕМНЫХ РЕАЛИЗАЦИЙ VHDL-МОДЕЛЕЙ, ИСПОЛЬЗУЮЩИХ ЧАСТИЧНУЮ ОПРЕДЕЛЕННОСТЬ БУЛЕВЫХ ФУНКЦИЙ. Информатика. 2006;(1(9)):58-67.

Просмотров: 445


Creative Commons License
Контент доступен под лицензией Creative Commons Attribution 4.0 License.


ISSN 1816-0301 (Print)
ISSN 2617-6963 (Online)